Semiconductor Physics, Quantum Electronics and Optoelectronics, 23 (3) P. 227-252 (2020).


References

1. Colinge J.-P. Silicon-on-insulator MOS devices for integrated circuit applications. Hewlett-Packard Journal. 1988. 39, No 1. P. 87-93.
2. Colinge J.-P. Silicon-on-Insulator Technology: Materials to VLSI. 3rd ed. Kluwer Academic Publishers. 2004.
https://doi.org/10.1007/978-1-4419-9106-5
3. Cristoloveanu S. and Li S. Electrical Characterization of Silicon-on-Insulator Materials and Devices. Kluwer, Boston. 1995.
https://doi.org/10.1007/978-1-4615-2245-4
4. Celler G. K., Cristoloveanu S. Frontiers of silicon-on-insulator. J. Appl. Phys. 2003. 93, No 9. P. 4955-4978.
https://doi.org/10.1063/1.1558223
5. Fleetwood D.M., Thome F.V., Tsao S.S. et al. High temperature Silicon-on-Insulator electronics for space nuclear power: requirements and feasibility. IEEE Trans. Nuclear Sci. 1988. 35, No 5. P. 1099-1112.
https://doi.org/10.1109/23.7506
6. Francis P., Terao A., Gentinne B., Flandre D., and Colinge J.-P. SOI technology for high-temperature applications. IEDM Techn. Digest. 1992. P. 353-356.
https://doi.org/10.1109/IEDM.1992.307590
7. Flandre D. Silicon-on-insulator technology for high temperature metal oxide semiconductor devices and circuits. Mater. Sci. Eng. 1995. 29, No 1-3. P. 7-12.
https://doi.org/10.1016/0921-5107(94)04018-Y
8. Colinge J.-P. SOI for hostile environment appli-cations. Proc. IEEE Intern. SOI Conf. 2004. P. 1-4.
9. Taur Y., Buchanan D.A., Chen W. et al. CMOS scaling into the nanometer regime. Proc. IEEE. 1997. 85, No 4. P. 486-504.
https://doi.org/10.1109/5.573737
10. Choi Y.K., Asano K., Lindert N. et al. Ultrathin-body SOI MOSFET for deep-sub-tenth micron era. IEEE Electron Dev. Lett. 2000. 21, No 5. P. 254-255.
https://doi.org/10.1109/55.841313
11. Hisamoto D., Lee W.-C., Kedzierski J. et al. FinFET - A self-aligned double-gate MOSFET scalable to 20 nm. IEEE Trans. Electron Dev. 2000. 47, No 12. P. 2320-2325.
https://doi.org/10.1109/16.887014
12. International Technology Roadmap for Semiconductors (ITRS), 2001 Edition. Emerging Research Devices. P. 29-40.
13. Frank D.J., Dennard R.H., Nowak E. et al. Device scaling limits of Si MOSFETs and their application dependencies. Proc. IEEE. 2001. 89, No 3. P. 259-288.
https://doi.org/10.1109/5.915374
14. Wong H.-S.P. Beyond the conventional transistor. IBM J. Res. & Develop. 2002. 46, No 2/3. P. 133-168.
https://doi.org/10.1147/rd.462.0133
15. Chang L., Choi Y.-K., Ha D. et al. Extremely scaled silicon nano-CMOS devices. Proc. IEEE. 2003. 91, No 11. P. 1860-1873.
https://doi.org/10.1109/JPROC.2003.818336
16. Park J.-T., Colinge J.-P. Multi-gate SOI MOSFETs: Device design guidelines. IEEE Trans. Electron Dev. 2002. 49, No 12. P. 2222-2229.
https://doi.org/10.1109/TED.2002.805634
17. Yu B., Chang L., Ahmed S. et al. FinFET scaling to 10 nm gate length. IEDM Techn. Dig. 2002. P. 251-254.
18. Colinge J.-P. (Ed.). FinFETs and other Multi-Gate Transistors. Springer, 2007.
https://doi.org/10.1007/978-0-387-71752-4
19. Baba S. Next generation low-power consumption SOI devices. OKI Techn. Rev. 2002. Issue 190. 69, No 2. P. 40-45.
20. Pelloie J.L. Using SOI to achieve low-power consumption in digital. Proc. IEEE Intern. SOI Conf. 2005. P. 1-4.
21. Uchiyama A., Baba S., Nagatomo Y., Ida J. Fully depleted SOI technology for ultra low power digital and RF applications. Proc. IEEE Intern. SOI Conf. 2006. P. 15-16.
https://doi.org/10.1109/SOI.2006.284409
22. Sakurai T., Matsuzawa A., Douseki T. Fully-depleted SOI CMOS Circuits and Technology for Ultralow-power Applications. New Jersey: Springer. 2006.
23. Celler G.K. SOI Technology Driving The 21st Century Ubiquitous Electronics. ECS Transactions. 2009. 19, No 4. P. 3-14.
https://doi.org/10.1149/1.3117387
24. Nguyen B.-Y., Celler G., Mazuré C. A review of SOI technology and its applications. IBM J. Res. & Develop. 2009. 4, No 2. P. 51-54.
25. Curran B., Fluhr E., Paredes J. et al. Power-constrained high-frequency circuits for the IBM POWER6 microprocessor. IBM J. Res. & Develop. 2007. 51, No. 6. P. 715-731.
https://doi.org/10.1147/rd.516.0715
26. Sellier M. FD-SOI: A technology setting new standards for IoT, automotive and mobile connectivity applications. April 10, 2019.
27. Global Silicon-on-Insulator (SOI) Market 2020 Recent Study including Growth Factors, Applications, Regional Analysis, Key Players and Forecasts 2024.
28. Guan L., Sin J.K.O., Liu H., Xiong Z. A fully integrated SOI RF MEMS technology for system-on-a-chip applications. IEEE Trans. Electron Dev. 2006. 53, No 1. P. 167-172.
https://doi.org/10.1109/TED.2005.860638
29. Mokwa W. Advanced sensors and microsystems on SOI. Intern. Journal of High Speed Electronics and Systems. 2000. 10, No 1. P. 147-153.
https://doi.org/10.1142/S0129156400000180
30. Raskin J.-P., Francis L., Flandre D. Sensing and MEMS devices in thin-film SOI MOS technology. In: Semiconductor-on-Insulator Materials for Nanoelectronics Applications. Eds: A.N. Nazarov, J.-P. Colinge, F. Balestra, J.-P. Raskin, F. Gamiz, V.S. Lysenko. Springer. 2011. P. 355-392.
https://doi.org/10.1007/978-3-642-15868-1_20
31. Cui Y., Wei Q., Park H., Lieber C.M. Nanowire nanosensors for highly sensitive and selective detection of biological and chemical species. Science. 2001. 293, Issue 5533. P. 1289-1292.
https://doi.org/10.1126/science.1062711
32. Kawamura S., Sasaki N., Iwai T. et al. 3-dimen-sional SOI/CMOS IC's fabricated by beam recrys-tallization. IEDM Techn. Digest. 1983. P. 364-367.
https://doi.org/10.1109/IEDM.1983.190517
33. Zhang R., Roy K., Janes D.B. Architecture and performance of 3-dimensional SOI circuits. Proc. 1999 IEEE Intern. SOI Conf. P. 44-45.
34. Okhonin S., Nagoga M., Sallese J.M., and Fazan P. A capacitor-less 1T-DRAM cell. IEEE Electron Dev. Lett. 2002. 23, No 2. P. 85-87.
https://doi.org/10.1109/55.981314
35. Bawedin M., Cristoloveanu S., Flandre D. Innovating SOI memory devices based on floating-body effects. Solid-State Electronics. 2007. 51, No 7. P. 1252-1262.
https://doi.org/10.1016/j.sse.2007.06.024
36. Colinge J.-P., Baie X., Bayot V., Grivei E. A silicon-on-insulator quantum wire. Solid-State Electron. 1996. 39, No 1. P. 49-51.
https://doi.org/10.1016/0038-1101(95)00094-A
37. Zhang L., Guo L., Chou S.Y. Silicon single-electron quantum-dot transistor switch operating at room temperature. Appl. Phys. Lett. 1998. 72. P. 1205-1207.
https://doi.org/10.1063/1.121014
38. Ono Y., Yamazaki K., Nagase M. et al. Single-electron and quantum SOI devices. Microelectron. Eng. 2001. 59, Issues 1-4. P. 435-444.
https://doi.org/10.1016/S0167-9317(01)00638-4
39. Sze S.M. VLSI Technology. New York, McGraw-Hill, 1983.
40. Muller R.S., Kamins T.I. Device Electronics for Integrated Circuits. 2nd ed. John Wiley and Sons, Inc., New York, 1986.
41. Troutman R.R. Latchup in CMOS Technology: The Problem and its Cure. Kluwer Academ. Publ., 1986.
https://doi.org/10.1007/978-1-4757-1887-4
42. Morris W. Latchup in CMOS. In: 2003 IEEE Intern. Reliability Phys. Symposium Proc. 2003.
43. Musseau O. Single-event effects in SOI technologies and devices. IEEE Trans. Nuclear Sci. 1996. 43, No 2. P. 603-613.
https://doi.org/10.1109/23.490904
44. Schwank J.R., Ferlet-Cavroiz V., Shaneyfelt M.R. et al. Radiation effects in SOI technologies. IEEE Trans. Nuclear Sci. 2003. 50, No 3. P. 522-538.
https://doi.org/10.1109/TNS.2003.812930
45. Tsaur B.-Y., Fan J.C.C., Turner G.W., Silversmith D.J. Effects of ionizing radiation on n-channel MOSFETs fabricated in zone-melting recrystallized Si films on SiO2. IEEE Electron Dev. Lett. 1982. 3, No 7. P. 195-197.
https://doi.org/10.1109/EDL.1982.25535
46. Davis G.E., Hughes H.L., Kamins T.I. Total dose radiation-bias effects in laser-recrystallized SOI MOSFET's. IEEE Trans. Nuclear Sci. 1983. 29, No 6. P. 1685-1689.
https://doi.org/10.1109/TNS.1982.4336429
47. Tsaur B.-Y., Mountain R.W., Chen C.K. et al. Effects of ionizing radiation on SOI/CMOS devices fabricated in zone-melting-recrystallized Si films on SiO2. IEEE Electron Dev. Lett. 1984. 5, No 7. P. 238-240.
https://doi.org/10.1109/EDL.1984.25902
48. Barchuk I.P., Kilchitskaya V.I., Lysenko V.S. et al. Electrical properties and radiation hardness of SOI systems with multilayer buried dielectric. IEEE Trans. Nuclear Sci. 1997. 44, No 6. P. 2542-2552.
https://doi.org/10.1109/23.650861
49. Rudenko A.N., Lysenko V.S., Nazarov A.N. et al. Total-dose radiation response of multilayer buried insulators. In: Perspectives, Science and Technologies for Novel Silicon on Insulator Devices, ed. by Peter L.F. Hemment, V.S. Lysenko and A.N. Nazarov. NATO Science Series 3. High Technology. Springer. 2000. 73. P. 205-212.
https://doi.org/10.1007/978-94-011-4261-8_19
50. Hu C. Silicon-on-insulator for high speed ultra large scale integration. Jpn. J. Appl. Phys. 1994. 33, No 1. P. 365-369.
https://doi.org/10.1143/JJAP.33.365
51. Flandre D., Raskin J.-P., Vanhoenacker D. SOI CMOS transistors for RF and microwave appli-cations. Intern. Journal of High Speed Electronics and Systems. 2001. 11, No 4. P. 1159-1248.
https://doi.org/10.1142/S0129156401001076
52. Lederer D., Desrumeaux C., Brunier F., Raskin J.-P. High resistivity SOI substrates: how high should we go? In: Proc. IEEE Int. SOI Conf. 2003. P. 50-51.
https://doi.org/10.1109/SOI.2003.1242893
53. Esfeh B. Kazemi, Makovejev S., Basso Didier et al. SOI CMOS technology on 1st and 2nd generation trap-rich high resistivity SOI wafers. Solid-State Electron. 2017. 128. P. 121-128.
https://doi.org/10.1016/j.sse.2016.10.035
54. Colinge J.-P. Subthreshold slope of thin-film SOI MOSFETs. IEEE Electron Dev. Lett. 1986. 7, No 4. P.244-246.
https://doi.org/10.1109/EDL.1986.26359
55. Wouters D.J., Colinge J.-P., and Maes H.E. Subthreshold slope in thin-film SOI MOSFETs. IEEE Trans. Electron Dev. 1990. 37, No 9. P. 2022-2033.
https://doi.org/10.1109/16.57165
56. Flandre D., Colinge J.-P., Chen J. et al. Fully depleted SOI CMOS technology for low-voltage low-power mixed digital/analog/microwave circuits. In: Analog Integrated Circuits and Signal Processing. Kluwer Academic Publ., Boston. 1999. 21, No 3. P. 213-228.
57. Flandre D., Terao A., Francis P., Gentinne B., Colinge J.-P. Demonstration of the potential of accumulation-mode MOS transistors on SOI substrates for high-temperature operation (150-300°C). IEEE Electron Dev. Lett. 1993. 14, No 1. P. 10-12.
https://doi.org/10.1109/55.215084
58. Colinge J.-P. SOI CMOS for high-temperature applications. In: Perspectives, Science and Techno-logies for Novel Silicon on Insulator Devices, ed. by Peter L.F. Hemment, V.S. Lysenko and A.N. Naza-rov. NATO Science Series 3. High Technology. Kluwer Academic Publ. 2000. 73. P. 249-256.
https://doi.org/10.1007/978-94-011-4261-8_24
59. Sze S. Physics of Semiconductor Devices. 2nd ed. John Wiley & Sons, Inc., New York. 1981.
60. Groeseneken G., Colinge J.-P., Maes H.E., Alderman J.C., Holt S. Temperature dependence of threshold voltage in thin-film SOI MOSFETs. IEEE Electron Device Lett. 1990. 11, No 8. P. 329-331.
https://doi.org/10.1109/55.57923
61. Plöbl A., Kräuter G. Silicon-on-insulator: materials aspects and applications. Solid-State Electronics. 2000. 44, No 5. P. 775-782.
https://doi.org/10.1016/S0038-1101(99)00273-7
62. Manasevit H.M., Simpson W.I. Single-crystal silicon on a sapphire substrate. J. Appl. Phys. 1964. 35, No 4. P. 1349-1351.
https://doi.org/10.1063/1.1713618
63. Mueller C.W., Robinson P.H. Grown-film silicon transistors on sapphire. Proc. IEEE. 1964. 52, No 12. P. 1487-1490.
https://doi.org/10.1109/PROC.1964.3436
64. Ipri A.C. Electrical properties of silicon films on sapphire using the MOS Hall technique. J. Appl. Phys. 1972. 43, No 6. P. 2770-2775.
https://doi.org/10.1063/1.1661592
65. Lau S.S., Matteson S., Mayer J.W. et al. Improvement of crystalline quality of epitaxial Si layers by ion-implantation techniques. Appl. Phys. Lett. 1979. 34, No 1. P. 76-78.
https://doi.org/10.1063/1.90564
66. Amano J., Carey K.A. A novel three-step process for low-defect-density silicon on sapphire. Appl. Phys. Lett. 1981. 39, No 2. P. 163-165.
https://doi.org/10.1063/1.92648
67. Yoshii T., Taguchi S., Inoue T., and Tengo H. Improvement of SOS device performance by solid-phase epitaxy. Jpn. J. Appl. Phys. 1982. 21. Suppl. 21-1. P. 175-176.
https://doi.org/10.7567/JJAPS.21S1.175
68. Johnson R.A., de la Houssey P.R., Chang C.E. et al. Advanced thin-film silicon-on-sapphire technology: microwave circuit applications. IEEE Trans. Electron Dev. 1998. 45, No 5. P. 1047-1054.
https://doi.org/10.1109/16.669525
69. Nakamura T., Matsuhashi H., Nagatomo Y. Silicon on sapphire (SOS) device technology. Oki Techn. Rev. 2004. Issue 200. 71, No 4. P. 66-69.
70. Kelly D., Brindle C., Kemerling C., Stuber M. The state-of-the-art of silicon-on-sapphire CMOS RF switches. IEEE Compound Semiconductor Integrated Circuit Symposium, 2005. CSIC'05.
https://doi.org/10.1109/CSICS.2005.1531812
71. Tsaur B.-Y. Zone-melting-recrystallization silicon-on-insulator technology. IEEE Circuits and Dev. Mag. 1987. 3, No 4. P. 12-16.
https://doi.org/10.1109/MCD.1987.6323127
72. Rensch D.B., Chen J.Y. Silicon film recrys-tallization using e-beam line source. Micro-electronics Journal. 1983. 14, No 6. P. 66-73.
https://doi.org/10.1016/S0026-2692(83)80086-X
73. Geis M.W., Smith H.I., Tsaur B.-Y., Fan J.C.C. Zone-melting recrystallization of encapsulated silicon films on SiO2 - morphology and crystallo-graphy. Appl. Phys. Lett. 1982. 40, No 2. P. 158-160.
https://doi.org/10.1063/1.93021
74. Fan J.C.C., Tsaur B.-Y., Geis M.W. Graphite-strip-heater zone-melting recrystallization of Si films. J. Cryst. Growth. 1983. 63, No 3. P. 453-483.
https://doi.org/10.1016/0022-0248(83)90162-8
75. Vu D.P., Benzahel D., Dupuy M. Halogen lamp recrystallization of silicon on insulting substrates. J. Appl. Phys. 1983. 54, No 1. P. 437-439.
https://doi.org/10.1063/1.331677
76. Tillack B., Mock P., Banisch R. et al. Thick monocrystalline silicon on oxidized silicon wafers produced by a zone-melting process using a scanning halogen lamp. phys. status solidi (a). 1986. 94, No 2. P. 871-876.
https://doi.org/10.1002/pssa.2210940258
77. Celler G.K., Trimple L.E. Ng K.K., Leamy H.J., Baumgart H. Seeded oscillatory growth of Si over SiO2 by CW laser irradiation. Appl. Phys. Lett. 1982. 40, No 12. P. 1043-1045.
https://doi.org/10.1063/1.92998
78. Limanov A.B., Givargizov E.I. Control of structure of zone-melting silicon films on amorphous substrates. Mater. Lett. 1983. 2, No 2. P. 93-96.
https://doi.org/10.1016/0167-577X(83)90044-7
79. Limanov A.B., Givargizov E.I. Laser zone-melting recrystallization of thin silicon films: method, struc-ture, crystallization mechanisms. Mikroelektronika. 1991. 20, No 4. P. 36-49 (in Russian).
80. Givargizov E.I., Loukin V.A., Limanov A.B. Defect engineering in SOI films prepared by zone-melting recrystallization. In book: Physical and Technical Problems of SOI Structures and Devices, Eds: J.-P. Colinge, V.S. Lysenko and A.N. Nazarov, NATO ASI Series 3. Springer, Dordrecht, 1995. 4. P. 27-38.
https://doi.org/10.1007/978-94-011-0109-7_3
81. Rudenko T.E., Rudenko A.N., Lysenko V.S., Limanov A.B., Givargizov E.I. Characteristics of CMOS IC elements based on SOI and SOS structures. Elektronnaya promyshlennost'. 1991. №8. P. 36-41 (in Russian).
82. Rudenko T.E., Rudenko A.N., Lysenko V.S. Electrical properties of ZMR SOI structures: Characterization techniques and experimental results. In: Physical and Technical Problems of SOI Structures and Devices. Eds: J.-P. Colinge, V.S. Lysenko and A.N. Nazarov. NATO ASI Series 3. Springer, Dordrecht, 1995. 4. P. 169-180.
https://doi.org/10.1007/978-94-011-0109-7_16
83. Izumi K., Doken M., Ariyoshi H. C.M.O.S devices fabricated on buried SiO2 layers formed by oxygen implantation into silicon. Electron. Lett. 1978. 14. P. 593-594.
https://doi.org/10.1049/el:19780397
84. Izumi K., Omura Y., Sakai T. SIMOX technology and its application to CMOS LSI. J. Electron. Mater. 1983. 12, No 5. P. 845-861.
https://doi.org/10.1007/BF02655298
85. Lam H.W. SIMOX SOI for integrated circuit fabrication. IEEE Circuits and Dev. Mag. 1987. 3, No 4. P. 6-11.
https://doi.org/10.1109/MCD.1987.6323126
86. Hemment P.L.F. Silicon on insulator formed by O+ or N+ ion implantation. Proc. Material Research Society Symp. 1986. 53. P. 207-221.
https://doi.org/10.1557/PROC-53-207
87. Nakashima S., Izumi K. Analysis of buried oxide layer formation and mechanism of threading dis-location generation in the substoichiometric oxygen dose region. J. Mater. Res. 1993. 8, No 3. P. 523-534.
https://doi.org/10.1557/JMR.1993.0523
88. Matsumura A., Kawamura K., Hamaguchi I. et al. Low-dose SIMOX wafers for LSIs fabricated with internal-thermal-oxidation (ITOX) process: electrical characterization. J. Mater. Sci.: Materials in Electronics. 1999. 10, No 5. P. 365-371.
89. Lasky J.B. Wafer bonding for silicon-on-insulator technologies. Appl. Phys. Lett. 1986. 48, No 1. P. 78-80.
https://doi.org/10.1063/1.96768
90. Maszara W.P., Goetz G., Caviglia A., McKitterick J.B. Bonding of silicon wafers for silicon-on-insulator. J. Appl. Phys. 1988. 64, No 10. P. 4943-4950.
https://doi.org/10.1063/1.342443
91. Tong Q.-Y. and Gösele U. Semiconductor Wafer Bonding: Science and Technology. John Wiley & Sons, New York, 1999.
92. Bruel M. Silicon on insulator material technology. Electron. Lett. 1995. 31, No 14. P. 1201-1202.
https://doi.org/10.1049/el:19950805
93. Bruel M. The history, physics, and applications of the Smart-Cut™ process. MRS Bulletin. 1998. 23, No 12. P. 35-39.
https://doi.org/10.1557/S088376940002981X
94. Auberton-Herve A.-J., Bruel M., Aspar B. et al Smart-Cut™: The basic fabrication process for UNIBOND™ SOI wafers. IEICE Trans. Electronics. 1997. E80-C, No 3. P. 358-363.
https://doi.org/10.1557/PROC-446-177
95. Bruel M. Smart-Cut® Technology: Basic mechanisms and applications. In: Perspectives, Science and Technologies for Novel Silicon on Insulator Devices, Eds. Peter L.F. Hemment, V.S. Lysenko and A.N. Nazarov, NATO Science Series 3. High Technology. Springer, Dordrecht, 2000. 73. P. 1-15.
https://doi.org/10.1007/978-94-011-4261-8_1
96. Maleville C., Mazuré C. Smart-Cut® technology: from 300 mm ultrathin SOI production to advanced engineered substrates. Solid-State Electronics. 2004. 48, No 6. P. 1055-1063.
https://doi.org/10.1016/j.sse.2003.12.029
97. Joly J.-P., Aspar B., Bruel M. et al. New SiC on insulator wafers based on the Smart-Cut™ approach and their potential applications. In: Progress in SOI Structures and Devices Operating at Extreme Con-ditions. Eds: F. Balestra, A.N. Nazarov, V.S. Lysen-ko. NATO Science Series II. Mathematics, Physics and Chemistry. Vol. 58. Kluwer Academic Publ., 2002. P. 31-38.
https://doi.org/10.1007/978-94-010-0339-1_4
98. Cioccio Di L., Jalaguier E., Letertre F.E. Compound Semiconductor Heterostructures by Smart Cut™: SiC on Insulator, QUASIC™ Substrates, InP and GaAs Heterostructures on Silicon. Springer Series in Materials Science. 2004. 75. P. 263-314.
https://doi.org/10.1007/978-3-662-10827-7_7
99. Akatsu T., Deguet C., Sanchez L. et al. 200-mm germanium-on-insulator (GeOI) by Smart Cut™ technology and recent GeOI pMOSFETs achievements. Proc. 2005 IEEE Int. SOI Conf. 2005. P. 137-138.
100. Yonehara T., Sakaguchi K., Sato N. Epitaxial layer transfer by bond and etch back of porous Si. Appl. Phys. Lett. 1994. 64, Issue 16. P. 2108-2110.
https://doi.org/10.1063/1.111698
101. Brews J.R., Fichtner W., Nicollian E.H., Sze S.M. Generalized guide for MOSFET miniaturization. IEEE Electron Dev. Lett. 1980. 1, No 1. P. 2-4.
https://doi.org/10.1109/EDL.1980.25205
102. Troutman R.R. VLSI limitations from drain-induced barrier lowering. IEEE Trans. Electron Dev. 1979. 26, No 4. P. 461-469.
https://doi.org/10.1109/T-ED.1979.19449
103. Dennard R.H., Gaensslen F.H., Yu H.-N. et al. Design of ion-implanted MOSFET's with very small physical dimensions. IEEE J. Solid-State Circuits. 1974. 9, No 5. P. 256-268.
https://doi.org/10.1109/JSSC.1974.1050511
104. Baccarani G., Wordeman M.R., Dennard R.H. Generalized scaling theory and its application to a 0.25 m MOSFET design. IEEE Trans. Electron Dev. 1984. 31, No 4. P. 452-462.
https://doi.org/10.1109/T-ED.1984.21550
105. Fenouillet-Beranger C., Skotnicki T., Monfray S. et al. Requirements for ultra-thin-film devices and new materials for the CMOS roadmap. Solid-State Electronics. 2004. 48, No 6. P. 961-967.
https://doi.org/10.1016/j.sse.2003.12.039
106. Kuhn K.J. Considerations for ultimate CMOS scaling. IEEE Trans. Electron Dev. 2012. 59, No 7. P. 1813-1828.
https://doi.org/10.1109/TED.2012.2193129
107. Wilk G.D., Wallace R.M., Anthony J.M. High-k gate dielectrics: Current status and materials properties considerations. J. Appl. Phys. 2001. 89, No 10. P. 5243-5275.
https://doi.org/10.1063/1.1361065
108. Gusev E., Buchanan D., Cartier E. et al. Ultrathin high-k gate stacks for advanced CMOS devices. IEDM Techn. Digest. 2001. P. 451-454.
109. Lee B.H., Kang L., Qi W.-J. et al. Ultrathin hafnium oxide with low leakage and excellent reliability for alternative gate dielectric application. IEDM Techn. Digest. 1999. P. 133-136.
110. Lee J.C., Cho H.J., Kang C.S. et al. High-k dielectrics and MOSFET characteristics. IEDM Techn. Digest. 2003. P. 95-98.
111. Chau R., Datta S., Doczy M. et al. High-k/metal-gate stack and its MOSFET characteristics. IEEE Electron Dev. Lett. 2004. 25, No 6. P. 408-410.
https://doi.org/10.1109/LED.2004.828570
112. Suzuki S., Ishii K., Kanemaru S. et al. Highly suppressed short-channel effects in ultrathin SOI n-MOSFETs. IEEE Trans. Electron Dev. 2000. 47, No 2. P. 354-359.
https://doi.org/10.1109/16.822280
113. Wong H.-S., Frank D., Solomon P. Device design considerations for double-gate, ground-plane, and single-gate ultra-thin SOI MOSFETs at the 25 nm channel length. IEDM Techn. Digest. 1998. P. 407-410.
114. Park J.T., Colinge J.-P., Diaz C.H. Pi-gate SOI MOSFET. IEEE Electron Dev. Lett. 2001. 22, No 8. P. 405-407.
https://doi.org/10.1109/55.936358
115. Yang F.-L., Chen H.-Y et al. 25 nm CMOS omega FETs. IEDM Techn. Digest. 2002. P. 255-258.
116. Colinge J.-P. Multiple-gate SOI MOSFETs. Solid-State Electronics. 2004. 48, No 6. P. 897-905.
https://doi.org/10.1016/j.sse.2003.12.020
117. Eminente S., Cristoloveanu S., Clerc R., Ohata A., Ghibaudo G. Ultra-thin fully-depleted SOI MOSFETs: Special charge properties and coupling effects. Solid-State Electronics. 2007. 51. P. 239-244.
https://doi.org/10.1016/j.sse.2007.01.016
118. Tsuchiya R., Horiuchi M., Kimura S. et al. Silicon on thin BOX: A new paradigm of the MOSFET for low-power and high-performance applications featuring wide-range back-bias control. IEDM Techn. Digest. 2004. P. 631-634.
119. Sekigawa T., Hayashi Y. Calculated threshold-voltage characteristics of an XMOS transistor having an additional bottom gate. Solid-State Electronics. 1984. 27, No 8. P. 827-828.
https://doi.org/10.1016/0038-1101(84)90036-4
120. Hisamoto D., Kaga T., Kawamoto Y., Takeda E. A fully depleted lean-channel transistor (DELTA) - A novel vertical ultra thin SOI MOSFET. IEDM Techn. Digest. 1989. P. 833-836.
121. Choi Y.-K. FinFET for Terabit era. J. Semiconductor Technol. Sci. 2004. 4, No 1. P. 1-11.
122. Miyano S., Hirose M., Masuoka F. Numerical analysis of a cylindrical thin pillar transistor (CYNTHIA). IEEE Trans. Electron Dev. 1992. 39, No 8. P. 1876-1881.
https://doi.org/10.1109/16.144678
123. Nitayama A., Takato H., Okabe N. et al. Multi-pillar surrounding gate transistor (M-SGT) for compact and high-speed circuits. IEEE Trans. Electron Dev. 1991. 38, No 3. P. 579-583.
https://doi.org/10.1109/16.75169
124. Passi V., Olbrechts B., Raskin J.P. Fabrication of a Quadruple Gate MOSFET in Silicon-on-Insulator technology. In: Abstracts of NATO Advanced Research Workshop "Nanoscaled Semiconductor-on-Insulator Structures and Devices", Sudak, Ukraine, 15-19 October, 2006. P. 11-12.
125. Lee C.-W., Afzalian A., Akhavan N.D. et al. Junctionless multigate field-effect transistor. Appl. Phys. Lett. 2009. 94, Issue 5. P. 053511-053513.
https://doi.org/10.1063/1.3079411
126. Colinge J.-P., Lee C.W., Afzalian A. et al. Nanowire transistors without junctions. Nature Nanotechnology. 2010. 5, No 3. P. 225-229.
https://doi.org/10.1038/nnano.2010.15
127. Yan R.H., Ourmazd A., Lee K.F. Scaling the Si MOSFET: from bulk to SOI to bulk. IEEE Trans. Electron. Dev. 1992. 39, No 7. P. 1704-1710.
https://doi.org/10.1109/16.141237
128. Suzuki K., Tanaka T., Tosaka Y. et al. Theory for double-gate SOI MOSFET's. IEEE Trans. Electron Dev. 1993. 40, No 12. P. 2326-2329.
https://doi.org/10.1109/16.249482
129. Auth С.P., Plummer J.D. Scaling theory for cylindrical, fully depleted, surrounding-gate MOSFET's. IEEE Electron Dev. Lett. 1997. 18, No 2. P. 74-76.
https://doi.org/10.1109/55.553049
130. Choi J.H., Park Y., Min H. Electron mobility behavior in extremely thin SOI MOSFET's. IEEE Electron Dev. Lett. 1995. 18, No 11. P. 527-529.
https://doi.org/10.1109/55.468289
131. Esseni D., Mastrapasqua M., Celler G.K. et al. Low field electron and hole mobility of SOI transistors fabricated on ultrathin silicon films for deep submicron technology application. IEEE Trans. Electron Dev. 2001. 48, No 12. P. 2842-2850.
https://doi.org/10.1109/16.974714
132. Esseni D., Abramo A., Selmi L., Sangiorgi E. Study of low field electron transport in ultra-thin single and double-gate SOI MOSFETs. IEDM Techn. Digest. 2002. P. 719-722.
133. Uchida K. Koga J., Takagi S. Experimental study on carrier transport mechanisms in double- and single-gate ultrathin-body MOSFETs − Coulomb scattering, volume inversion, and δTSOI-induced scattering. IEDM Techn. Digest. 2003. P. 805-808.
134. Tihanyi J., Schlötterer H. Influence of the floating substrate potential on the characteristics of ESFI MOS transistors. Solid-State Electron. 1975. 18, No 4. P. 309-314.
https://doi.org/10.1016/0038-1101(75)90083-0
135. Kato K., Wada T., Taniguchi K. Analysis of kink characteristics in silicon on insulator MOSFETs using two-carrier modeling. IEEE Trans. Electron Dev. 1985. 32, No 2. P. 458-462.
https://doi.org/10.1109/T-ED.1985.21963
136. Colinge J.-P. Reduction of kink effect in thin-film SOI MOSFETs. IEEE Electron Dev. Lett. 1988. 9, No 2. P. 97-99.
https://doi.org/10.1109/55.2052
137. Colinge J.-P. Transconductance of Silicon-on-Insulator (SOI) MOSFETs. IEEE Electron Dev. Lett. 1985. 6, No 11. P. 573-574.
https://doi.org/10.1109/EDL.1985.26234
138. Lim H.K., Fossum J.G. Threshold voltage of thin-film silicon-on-insulator (SOI) MOSFETs. IEEE Trans. Electron Dev. 1983. 30, No 10. P. 1244-1251.
https://doi.org/10.1109/T-ED.1983.21282
139. Rudenko T.E., Rudenko A.N., Nazarov A.N., Lysenko V.S. Characterization of SOI by capa-citance and current measurements with combined gated diode and depletion-mode MOS FET struc-ture. Microelectron. Eng. 1995. 28, No 1-4. P. 475-478.
https://doi.org/10.1016/0167-9317(95)00101-D
140. Yang I.J., Vieri K., Chandrakasan A., Antoniadis D.A. Back gated CMOS on SOIAS for dynamic threshold voltage control. IEDM Techn. Digest. 1995. P. 877-879.
141. Hiramoto T. Low power and low voltage MOSFETs with variable threshold voltage controlled by back-bias. IEICE Trans. Electron. 2000. E83C(2). P. 161-169.
142. Passi V., Ravaux F., Dubois E. et al. High gain and fast detection of warfare agents using back-gated silicon nanowired MOSFETs. IEEE Electron Dev. Lett. 2011. 10, No 7. P. 976-978.
https://doi.org/10.1109/LED.2011.2146750
143. Dai P., Gao A., Lu N., Li T., Wang Y. A back-gate controlled silicon nanowire sensor with sensitivity improvement for DNA and pH detection. Jpn. J. Appl. Phys. 2013. 52. P. 121301.
https://doi.org/10.7567/JJAP.52.121301
144. Fathil M.F.M., Arshad M.K.Md., Hashim U. et al. Design architecture of field-effect transistor with back gate electrode for biosensor application. AIP Conf. Proc. 2016. 1733. P. 020071.
https://doi.org/10.1063/1.4948889
145. Rudenko T., Kilchytska V., Raskin J.-P. et al. Special features of the back-gate effects in ultra-thin body SOI MOSFETs. In: Semiconductor-on-Insulator Materials for Nanoelectronics Applications, ed. by A.N. Nazarov, J.-P. Colinge, F. Balestra et al. Springer. 2011. P. 323-339.
https://doi.org/10.1007/978-3-642-15868-1_18
146. Rudenko T., Nazarov A., Kilchytska V., Flandre D. A review of special gate coupling effects in long-channel SOIMOSFET with lightly doped ultra-thin bodies and their compact analytical modeling. Solid-State Electron. 2016. 117. P. 66-76.
https://doi.org/10.1016/j.sse.2015.11.017
147. Schred Simulation Tool. [Online]. Available: http://nanohub.org.
148. Quisse T., Cristoloveanu S., Bor G. Influence of series resistances and interface coupling on the transconductance of fully-depleted silicon-on-insulator MOSFETs. Solid-State Electron. 1992. 35, No 2. P. 141-149.
https://doi.org/10.1016/0038-1101(92)90053-F
149. Rudenko T., Kilchytska V., Burignat S. et al. Experimental study of transconductance and mobility behaviors in ultra-thin SOI MOSFETs with standard and thin buried oxides. Solid-State Electron. 2010. 54, No 2. P. 164-170.
https://doi.org/10.1016/j.sse.2009.12.014
150. Stern F. and Howard W.E. Properties of semi-conductor surface inversion layers in the electric quantum limit. 1967. Phys. Rev. 163, No 3. P. 816-835.
https://doi.org/10.1103/PhysRev.163.816
151. Stern F. Self-consistent results for n-type Si inver-sion layers. Phys. Rev. B. 1972. 5, No 12. P. 4891-4899. https://doi.org/10.1103/PhysRevB.5.4891.
https://doi.org/10.1103/PhysRevB.5.4891
152. Ohkura Y. Quantum effects in Si n-MOS inversion layer at high substrate concentration. Solid State Electron. 1990. 33, No 12. P. 1581-1585.
https://doi.org/10.1016/0038-1101(90)90138-5
153. Janik T. and Majkusiak B. Influence of carrier energy quantization on threshold voltage of metal oxide semiconductor transistor. 1994. J. Appl. Phys. 75, No 10. P. 5186-5190.
https://doi.org/10.1063/1.355766
154. Balestra F., Cristoloveanu S., Benachir M. et al. Double-gate silicon-on-insulator transistor with volume inversion: A new device with greatly enhanced performance. IEEE Electron Dev. Lett. 1987. 8, No 9. P. 410-412.
https://doi.org/10.1109/EDL.1987.26677
155. Ouisse T. Self-consistent quantum-mechanical calculations in ultrathin silicon-on-insulator structures. J. Appl. Phys. 1994. 76, No 10. P. 5989-5995.
https://doi.org/10.1063/1.358382
156. Majkusiak B., Janik T., Walczak J. Semiconductor thickness effects in the double-gate SOI MOSFET. IEEE Trans. Electron Dev. 1998. 45, No 5. P. 1127-1133.
https://doi.org/10.1109/16.669563
157. Omura Y., Horiguchi S., Tabe M., Kishi K. Quantum-mechanical effects on the threshold voltage of ultrathin-SOI nMOSFETs. IEEE Electron Device Lett. 1993. 14, No 12. P. 569-571.
https://doi.org/10.1109/55.260792
158. Uchida K., Koga J., Ohba R. et al. Experimental evidences of quantum-mechanical effects on low field mobility, gate-channel capacitance, and threshold voltage of ultrathin body SOI MOSFETs. IEDM Techn. Digest. 2001. P. 633-636.
159. Colinge J.-P., Xiong W., Cleavelin C.R. et al. Room-temperature low-dimensional effects in Pi-gate SOI MOSFETs. IEEE Electron Device Lett. 2006. 27, No 9. P. 775-777.
https://doi.org/10.1109/LED.2006.881086
160. Colinge J.-P., Alderman J.C., Xiong W., Cleavelin C.R. Quantum-mechanical effects in trigate SOI MOSFETs. IEEE Trans. Electron Dev. 2006. 53, No 5. P. 1131-1136.
https://doi.org/10.1109/TED.2006.871872
161. Colinge J.-P. Quantum-wire effects in trigate SOI MOSFETs. Solid-State Electronics. 2007. 51, No 9. P. 1153−1160.
https://doi.org/10.1016/j.sse.2007.07.019
162. Ge L. and Fossum J.G. Analytical modeling of qu-antization and volume inversion in thin Si-film DG MOSFETs. IEEE Trans. Electron Dev. 2002. 49, N. 2.P. 287-293.
https://doi.org/10.1109/16.981219
163. Na K.-I., Park K.H., Cristoloveanu S. et al. Low-frequency noise and mobility in triple-gate silicon-on-insulator transistors: Evidence for volume inver-sion effects. Microelectron. Eng. 2012. 98, No 10. P. 85−88.
https://doi.org/10.1016/j.mee.2012.05.027
164. Koga J., Takagi S., Toriumi A. Influences of buried-oxide interface on inversion-layer mobility in ultra-thin SOI MOSFETs. IEEE Trans. Electron. Dev. 2002. 49, No 6. P. 1042−1048.
https://doi.org/10.1109/TED.2002.1003737
165. Gámiz F., López-Villanueva J.A., Roldán J.B. Phonon-limited electron mobility in ultrathin silicon-on-insulator inversion layers. J. Appl. Phys. 1998. 83, No 9. P. 4802−4806.
https://doi.org/10.1063/1.367273
166. Shoji M., Horiguchi S. Electronic structures and phonon limited electron mobility of double-gate silicon-on-insulator Si inversion. J. Appl. Phys. 1999. 85, No 5. P. 2722−2731.
https://doi.org/10.1063/1.369589
167. Gámiz F., Roldán J.B., Cartujo-Cassinello P. et al. Electron mobility in extremely thin single-gate silicon-on-insulator inversion layers. J. Appl. Phys. 1999. 86, No 11. P. 6269−6275.
https://doi.org/10.1063/1.371684
168. Gámiz F., Roldán J.B., López-Villanueva J. et al. Surface roughness at the Si-SiO2 interfaces in fully depleted silicon-on-insulator inversion layers. J. Appl. Phys. 1999. 86, No 12. P. 6854−6863.
https://doi.org/10.1063/1.371763
169. Esseni D., Abramo A., Selmi L., Sangiorgi E. Physically based modelling of low field electron mobility in ultrathin single- and double-gate SOI n-MOSFETs. IEEE Trans. Electron Dev. 2003. 50, No 12. P. 2445−2455.
https://doi.org/10.1109/TED.2003.819256
170. Gámiz F., Fischetti M.V. Monte Carlo simulation of double-gate silicon-on-insulator inversion layers: The role of volume inversion. J. Appl. Phys. 2001. 89, No 10. P. 5478−5487.
https://doi.org/10.1063/1.1358321
171. Gámiz F., Roldán J.B., Cartujo-Cassinello P. et al. Role of surface-roughness scattering in double gate silicon-on-insulator inversion layers. J. Appl. Phys. 2001. 89, No 3. P. 1764−1770.
https://doi.org/10.1063/1.1331076